半导体算力系列一契合下游应用新场景,国产

白癜风能治吗 http://m.39.net/pf/a_4793213.html

来源:金融界网

作者:中金点睛彭虎石晓彬唐宗其

  我们认为随着5G、AIOT等行业的兴起,国产FPGA厂商竞争力提升,有望迎来业绩的爆发期。FPGA相比较于其他通用逻辑器件或者ASIC,在灵活性、小规模部署成本方面有优势,正好满足了5G通信业务灵活部署、AIOT市场长尾碎片化的需求。年是我国5G规模建设开启元年,处于全球领先地位,推动国内相关AIOT、工业控制等行业蓬勃发展,拉动FPGA需求。我们认为,从产品上看国内厂商在中低端产品领域已逐步接近国外龙头企业,随着高端产品不断突破,应用场景和案例的持续迭代,有望快速提升竞争力。

  摘要

  FPGA在灵活性、性能、功耗、成本之间具有较好的均衡性。1)FPGA属于硬件可重构的芯片结构,对于技术更替变化快或者需要满足应用功能灵活变化的环节适用性更强。2)FPGA是专用电路中开发周期最短、应用风险最低的器件之一,部分客户无需投资研发即可获得适用FPGA芯片,因此对于需求量暂时较低规模化还不显著的领域,FPGA性价比更高。3)FPGA芯片内部可按照数据包步骤数量搭建相对应的流水线,从而实现数据并行、流水线并行,具有较强的算力,在部分应用场景亦有功耗和时延优势。

  解决下游应用痛点,需求快速增长。1)网络通信领域是FPGA下游应用最广泛场景,有效实现大量高速数字信号处理功能,同时5G灵活部署、业务多样化增加对FPGA的需求。2)工业控制领域集成化与安全性要求不断提高,FPGA可帮助实现更智能化、高性能、低能耗的解决方案。3)依托时延优势组成数据中心加速层,剩余资源可部署神经网络,我们看到微软已开始使用这一方案。4)据Frost;;Sullivan,年全球FPGA市场规模达60.8亿美元,-年CAGR为16.4%,年市场规模.8亿美元;中国FPGA市场从年65.5亿元增长至年.3亿元,未来中国FPGA市场需求量有望持续扩大,预计年市场规模将达.2亿元。

  目前国产FPGA产品以中低密度产品为主,高端产品不断突破。目前活跃在市场的国产FPGA产品以中低密度产品为主,架构大多采取LUT+布线概念,中高密度FPGA的技术水平与国际领先商相比仍有差距,同时部分厂商已经有所突破形成追赶态势,像紫光同创、高云半导体、复旦微等均有28nm千万门级以上产品推出。

  风险

  5G建设不及预期、云数据中心部署不及预期、FPGA研发进展不及预期。

  正文

  FPGA芯片:兼具灵活性和性能的半定制化集成电路

  FPGA:基于可编程器件发展而来的现场可编程门阵列

  FPGA(FieldProgrammableGateArray),即现场可编程门阵列,最初起源于Xilinx公司,该公司于年推出世界首款FPGA芯片“XC”。FPGA芯片是基于PAL、GAL、CPLD等可编程器件发展而来,既解决了传统可编程器件门阵列数有限的缺点,具备更大的规模容量进而提高性能;同时现场可编程的特点帮助其克服了定制电路(例如ASIC芯片)灵活性不足的缺陷。

  图表:FPGA发展历程

  

  资料来源:电子发烧友网,中金公司研究部

  从结构来看,FPGA芯片由逻辑单元块以矩阵方式排列,并通过可编程的互联资源连接来实现不同功能的设计。FPGA芯片主要包含三大部分:可编程逻辑块(CLB)、可编程输入输出单元(I/O)以及互连布线资源。

  图表:FPGA主要组成结构

  

  资料来源:《PerformanceAnalysisofNanoelectromechanicalRelay-BasedField-ProgrammableGat》,TianQin等,中金公司研究部

  在FPGA的内部结构中,可编程逻辑块(CLB)是FPGA内部最重要的资源,能够反映出不同FPGA在结构上的差异,帮助FPGA实现可编程。以Xilinx7系列为例,其CLB包含两片Slices,每个Slice的基本单元由查找表(LUT),查找表本质上是随机存储器(RAM),因此可以将FPGA视为一个静态存储器(SRAM)。当用户通过HDL语言等方式描述一个逻辑电路后,FPGA开发软件会计算出逻辑电路的所有结果并存入RAM中,当用户输入信号进行逻辑运算时则近似于输入地址进行查表,从而输出运算结果。从这个角度来看,FPGA能够实现可编程的基础原因在于:理论上来说,只要扩大存储容量并增加输入信号线,查找表可以实现任意多逻辑函数的输入和运算。

  图表:Xilinx7系列FPGA中每个CLB中包含8个查找表(LUT)

  

  资料来源:Xilinx   FPGA芯片主要具备以下特点:

  ·设计灵活,FPGA属于硬件可重构的芯片结构,内部具有数量丰富的可编程输入输出单元引脚及触发器;

  ·适用便捷,FPGA是专用电路中开发周期最短、应用风险最低的器件之一(部分客户无需投资研发即可获得适用FPGA芯片);

  ·并行计算,FPGA芯片内部可按照数据包步骤数量搭建相对应的流水线,从而实现数据并行、流水线并行;

  ·高兼容性,FPGA可与CMOS等大规模集成电路兼容,进行协同工作。

  基于上述特点,FPGA芯片早期作为ASIC芯片的半定制化电路替代品应用于部分场景中,近年来,随着微软、亚马逊等头部互联网企业不断加大数据中心建设,FPGA芯片的应用范围也不断拓宽。

  FPGA在灵活性、性能、功耗、成本之间具有较好的平衡性

  相较于CPU,FPGA并行计算能力可提升运算速率并降低时延。CPU的本质是利用大规模存储器在时间维度内复用处理单元,并在强大逻辑数据库支持下实现更多应用逻辑,但同时也会失去处理单元的并行处理能力。

  相较于GPU,FPGA在功耗和灵活性等方面具备优势。一方面,由于GPU采用大量的处理单元并且大量访问片外存储SDRAM,其计算峰值更高,同时功耗也较高,FPGA的平均功耗(10W)远低于GPU的平均功耗(W),可有效改善散热问题;另一方面,GPU在设计完成后无法改动硬件资源,而FPGA根据特定应用对硬件进行编程,更具灵活性。机器学习使用多条指令平行处理单一数据,FPGA的定制化能力更能满足精确度较低、分散、非常规深度神经网络计算需求。

  相较于ASIC芯片,FPGA在项目初期具备短周期、高性价比的优势。ASIC需从标准单元进行设计,当芯片的功能及性能需求发生变化时或者工艺进步时,ASIC需重新投片,由此带来较高的沉没成本以及较长的开发周期;而FPGA包括预制门和触发器,具有编程、除错、再编程和重复操作等优点,可实现芯片功能重新配置,因此早期FPGA常作为定制化ASIC领域的半定制电路出现,被业内认为是构建原型和开发设计的较快推进的路径之一。

  图表:FPGA与ASIC经济成本比较

  

  资料来源:半导体行业联盟,中金公司研究部

  图表:FPGA和ASIC综合对比

  

  资料来源:《FieldProgrammableGateArraysforMilitaryApplication》,中金公司研究部

  专用EDA软件等构筑核心壁垒

  FPGA芯片需要软硬件协同发展实现功能配置,FPGA专用EDA软件工具的编程复杂性以及专用性构筑差异化竞争优势。从设计流程上来看,FPGA与其他芯片的前端设计流程是相同的,也需要用到广义的通用EDA,但当芯片设计基本完成后,FPGA需要通过软件工具中的流程将用户写好的程序编译到FPGA中从而实现相应的功能。FPGA专用软件工具是一系列EDA的组合,从设计流程来看主要包括以下步骤:电路设计、逻辑综合、设计实现(工艺映射、布局布线等)、仿真模拟和编程下载等。

  图表:FPGA软件工具设计流程图

  

  资料来源:紫光同创   在FPGA软件工具设计流程中,除部分前端流程可借助第三方工具外,其余环节基本需要FPGA芯片厂商进行自主研发覆盖,存在较高技术壁垒。在布局布线中,由于需要通过FPGA专用EDA软件将电路描述为芯片配置信息,因此这一环节必须利用FPGA芯片的内部结构信息。

  从国内外FPGA厂商软件工具研发情况来看:国外厂商方面,Xilinx最新推出Vitis统一软件平台,帮助用户进行软件开发;Altera提供可编程逻辑的设计工具QuartusII;Lattice软件工具为Diamond。国内厂商方面,紫光同创研发出支持千万门级FPGA设计的PangoDesignSuite。

  图表:部分国内外FPGA厂商软件工具研发情况

  

  资料来源:各公司   FPGA软硬件相互驱动,特别是在高端大规模FPGA芯片领域,FPGA的设计流程往往是软件先行,甚至可以说软件工具研发水平对硬件规模和性能所能达到的高度有很大影响。为了在应用端最大限度地发挥硬件性能,FPGA厂商在软件工具编程设计时,除了需要考虑与芯片硬件架构的匹配和一致性,还要考虑应用场景多样性、时序模型的复杂性和用户使用体验及效率等,特别是对于逻辑综合、布局布线为代表的核心环节,研发难度较高,国内FPGA厂商相较于海外龙头企业仍有差距。

  FPGA应用领域:“3+3”应用场景,下游不断拓展

  三大核心应用场景:通信、工业、数据中心

  通信领域:FPGA加强通信设备并行处理能力,5G开启新兴增量空间

  网络通信领域是FPGA下游应用最广泛场景,有效实现大量高速数字信号处理功能。FPGA目前被大量应用在有线及无线通信设备中,实现接口扩展、逻辑控制、数据处理、单芯片系统等功能。在无线通信系统中,许多功能模块通常都需要大量的滤波运算,这些滤波函数往往需要大量的乘和累加操作,FPGA芯片内在的分布式逻辑和运算单元结构使其可以较容易地实现分布式的算法结构,因此可以有效地实现这些乘和累加操作,使其可以实现通信过程中大量的高速数字信号处理功能。

  MassiveMIMO技术创新,多通道波束成型中FPGA灵活性凸显。为了增强信号覆盖及频谱效率,大规模天线(MassiveMIMO)成为5G引入的关键技术之一。MassiveMIMO技术下,收发通道数由16T16R提高到64T64R甚至TR,同时需要对每个天线单元接受到的信号进行数字处理,由此在自适应波束成形中产生了大量的计算负载。FPGA在I/O、运算速度及延迟上比CPU、DSP更具优势,在多通道波束成形中FPGA更具有灵活性。

  图表:FPGA在5G领域的应用

  

  资料来源:芯师爷,中金公司研究部

  工业控制:集成化与安全性要求提高,FPGA提供成本有效性方案

  FPGA可帮助工业应用实现更智能化、高性能、低能耗的解决方案。以数控机床马达为例,与传统只能控制单一马达的专用芯片相比,基于FPGA的多通道马达控制能够实现:1)在增加以太网连接能力的同时不增加额外的器件,2)可使用灵活方法去控制多个马达和差异化的产品,3)实现支持马达控制和现场总线连接能力的单芯片方案;目前世界工业电力的2/3都用来驱动电机,我们认为,随着以自动化为核心要素的智能无人工厂的发展,未来各类能精准控制马达且可在单一芯片上实现多马达控制的FPGA将不断渗透。

  图表:AlteraCycloneseries:基于FPGA的工业以太网解决方案

  

  资料来源:联诠科技,中金公司研究部

  图表:AlteraCyloneIII:基于FPGA的电机控制解决方案

  

  资料来源:联诠科技,中金公司研究部

  数据中心:依托低时延优势,FPGA构成数据中心加速层

  FPGA组成数据中心加速层,剩余资源可部署于神经网络,产品形态主要为加速卡。FPGA芯片在数据中心领域主要用于硬件加速,位于网络交换层与传统服务器软件(CPU层面运行软件)之间。加速层通过众多FPGA支撑虚拟网络模型计算任务,使得硅片内存完整加载模型权重,突破DRAM性能瓶颈,同时剩余资源可用于深度神经网络等计算任务。FPGA在数据中心领域主要产品形态为加速卡(Xilinx及英特尔在FPGA数据中心运算方面产品形态均为加速卡),与CPU搭配,把CPU的部分数据运算卸载至FPGA,将部分需要实时处理/加速定制化的计算交由FPGA执行。

  图表:FPGA加速平面介于网络交换层和传统服务器软件之间

  

  资料来源:MICRO,中金公司研究部

  图表:数据中心加速层剩余资源可部署于神经网络

  

  资料来源:MICRO,中金公司研究部

  微软是第一个在公共云基础设施中部署FPGA的主要云服务提供商,从Bing到Azure,微软在服务器中用FPGA代替传统CPU。年微软提出使用FPGA加速Bing搜索引擎的方案,每8块FPGA形成一条链,每块FPGA对应特定任务(提取特征/计算特征/计算文档得分),此方案下Bing的搜索结果排序性能提高了1倍,搜索时延大幅降低。年微软云计算部门Azure引入FPGA加速处理实时数据,网络计算速度的提高使得网络及存储虛拟化CPU成本激增(网络计算速度提至40Gbps,单位CPU核吞吐量仅Mbps),此方案可解决网络及存储虚拟化成本较高问题,且通过轻量级传输层,同一服务器机架时延可控制在3微秒内,触达同数据中心全部FPGA机架时延可控制在20微秒内。

  图表:FPGA加速Bing的搜索排序过程

  

  资料来源:微软   图表:Azure服务器部署FPGA的架构

  

  资料来源:SmartNIC:AcceleratingAzure’sNetworkwithFPGAsonOCSservers,中金公司研究部

  三大新兴应用场景:消费电子、汽车电子、人工智能

  消费电子:FPGA灵活支持接口转换,短开发周期特性匹配行业迭代周期

  单一FPGA芯片可实现各种存储接口的控制,简化外围电路设计。消费电子产品中音视频信息需与运算芯片进行数据通信,以视频信息为例,摄像头需要将采集到的数据传递给计算芯片处理、将处理后的结果传递给屏幕进行显示等,由于设备内部信号协议都不尽相同,传统设计往往需多个专用接口芯片进行数据格式的转换,采用FPGA芯片方案后,单一FPGA芯片可以实现各种存储接口的控制,接口逻辑就都可以在FPGA芯片内部实现,大大简化了外围电路的设计。

  类协处理器方案解决性价比问题,短开发周期特性匹配消费电子迭代周期。消费电子市场对产品性价比要求较为严苛,为实现性价比与差异化的平衡,消费电子厂商目前已形成一种类似协处理器、功能简单、功耗低、性价比高的以FPGA作为主芯片的解决方案。此外,消费电子产品迭代周期快,FPGA芯片开发周期较短特点与消费电子板块匹配。

  汽车电子:FPGA在汽车领域应用覆盖广,头部厂商积极布局

  FPGA在汽车领域可应用于ADAS、车载摄像头、传感器、激光雷达等,应用面广泛。由于FPGA具有强逻辑性,当汽车电子系统当中的逻辑单元较多时,采用FPGA技术能够将这些逻辑单元准确排列,提高汽车电子系统中逻辑单元的利用率;同时,由于FPGA内部结构较易实现分布式算法结构,对实现汽车电子中的高速数字信号处理十分有利。在系统接口及控制(驱动电控系统/连接各种车载设备/实现雷达信号处理等)、视频桥接和融合(多个图像传感器信号桥接/3D环视视频融合等)、辅助驾驶和自动驾驶(机器视觉与目标检测等)等领域,FPGA为多种应用提供灵活低成本高性能解决方案。

  全球巨头积极布局汽车电子,抢占智能驾驶赛道。FPGA大厂赛灵思的汽车FPGA方案从ADAS向AD持续演进,在前视摄像头、激光雷达、成像雷达、传感器融合、计算加速平台等领域均有成功应用,截至年汽车领域器件出货量累计1.7亿片,激光雷达传感器市场赛灵思市场份额90%以上。赛灵思ADAS从计算机视觉逐渐过渡到AI深度学习处理,年赛灵思在开发者大会中预计前置摄像头在-年成为“标准功能”,同时二维雷达向成像雷达过渡,激光雷达技术高度分裂化/差异化,传感器探测目标范围将超过米。

  图表:安森美InterlineTransferCCD图像传感器采用FPGA方案

  

  资料来源:中国半导体论坛,中金公司研究部

  图表:Xilinx在FPGA汽车领域覆盖面不断提高

  

  资料来源:赛灵思开发者大会,中金公司研究部

  人工智能:高密度面向云侧并行运算需求,中低密度面向端侧推断任务转移

  云端:FPGA流水线并行结构,可以实现更高的并发处理。FPGA可针对数据包步骤数量搭建同等数量流水线,数据包经多个流水线处理后可即时输出,无需像GPU一样数据单元一致输出,因此计算密集型任务(如图像处理、机器学习等)中,拥有流水线并行和数据并行的高密度FPGA效率更高。据赛灵思公布数据,赛灵思reVISION堆栈与英伟达TegraX1对比,reVISION堆栈在机器学习方面单位功耗图像捕获速度提升6倍,在计算机视觉处理的帧速率提升42倍,时延仅为1/5(毫秒单位)。

  端侧:推断决策任务转至智能终端,中低密度FPGA的机会。随着智能终端对实时响应和多样化应用的需求,越来越多的推断任务被转移到端侧来完成。为实现推断任务的转移,通常对训练后的人工智能算法模型进行压缩,进而应用到推理环节,FPGA芯片可实现快速推断决策的特点也使其可广泛应用于该领域。

  FPGA市场规模:通信需求主导,5G、AI、自动驾驶催化增量空间

  FPGA应用场景范围拓展,且部分应用场景不可替代性,FPGA市场增量需求逐渐释放。全球FPGA市场规模潜力将逐渐释放,主要得益于1)下游应用场景不断拓展:FPGA芯片依托于高灵活性、开发周期短、节省流片成本与风险等特质,应用场景从通信收发器、消费电子逐步拓展至新兴高成长的汽车电子、数据中心、机器视觉等领域,存量与增量市场均存在扩容空间;2)部分应用场景中不可替代性强:在技术尚未稳定、灵活度/并行计算能力要求高的场景中,FPGA与其他逻辑芯片相比具有明显优势。

  据Frost;;Sullivan,年全球FPGA市场规模达60.8亿美元,-年CAGR为16.4%,年市场规模.8亿美元;中国FPGA市场从年65.5亿元增长至年.3亿元,未来中国FPGA市场需求量有望持续扩大,预计年市场规模将达.2亿元。

  图表:-年全球FPGA市场规模

  

  资料来源:Frost;;Sullivan,中金公司研究部

  图表:-年中国FPGA市场规模

  

  资料来源:Frost;;Sullivan,中金公司研究部

  FPGA市场呈现海外双寡头格局,但中国市场正在不断扩大,为本土厂商带来后发机会。年全球超85%的FPGA出货量来自Xilinx及Altera,FPGA全球市场呈现双寡头格局。近年来,由于FPGA下游不断拓宽,市场增量机会涌现,同时5G、AI市场未来增量大部分在亚洲,中国5G建设进度领先,因此我们认为FPGA的市场格局正在发生转变,中国FPGA厂商作为后发者迎来机会。

  图表:年全球FPGA芯片市场格局(按出货量)

  

  资料来源:Frost;;Sullivan,中金公司研究部

  图表:亚太地区是FPGA主要市场

  

  资料来源:MarketResearchFuture,中金公司研究部

  5G步入首轮全球建设期,中国FPGA通信领域市场增长。年起,以韩、中、美、日为代表对于5G通讯的需求开始释放,5G市场步入首轮建设期。据工信部,截至1Q21我国已累计建成5G基站超81.9万个,占全球比例约为70%,我国5G技术与商用进程取得全球领先优势。通信是FPGA芯片下游最主要市场,随5G通信技术发展、基站天线收发器等硬件设备升级,中国FPGA市场需求增长强劲。据Frost;;Sullivan,中国FPGA通信领域市场年规模62.1亿元,预计年达.4亿元。

  图表:中国FPGA芯片应用场景市场规模(年)

  

  资料来源:Frost;;Sullivan,中金公司研究部

  图表:全球5G商用地图

  

  资料来源:通信产业网,中国信通院,Frost;;Sullivan,中金公司研究部(统计截至年5月底)

  工业4.0时代智能化无人工厂趋势,中国FPGA工业领域市场规模年将超过百亿元人民币。据中国信通院,年,我国工业互联网产业经济总体规模为2.13万亿元,预计年总体规模达3.1万亿元,同比增长47.9%。FPGA工业市场是仅次于通信的第二大市场,工业4.0时代工业正在从以人力资源为核心要素转向以自动化为核心要素的智能化无人工厂,FPGA实时性和高并行性价值凸显。据Frost;;Sullivan,中国FPGA工业领域市场年规模47.4亿元,预计年达.8亿元。

  图表:-年中国FPGA工业领域市场规模

  

  资料来源:Frost;;Sullivan,中金公司研究部

  FPGA成为全球数据中心加速器市场中最高成长领域,中国FPGA数据中心市场不断扩大。据SemicoResearch预计,全球市场数据中心加速器市场将从年的28.4亿美元增长到年的.9亿美元,年复合增长率49.47%;其中,FPGA预计将是年复合增长率最高的细分市场,该增长将由企业级工作负载加速应用对FPGA越来越多的采用而驱动。据Frost;;Sullivan,中国FPGA数据中心领域市场年规模16.1亿元,预计年达34.6亿元,-年CAGR为16.6%。

  图表:-年全球数据中心加速器FPGA市场规模

  

  资料来源:SemicoResearch,中金公司研究部

  图表:-年中国FPGA数据中心领域市场规模

  

  资料来源:Frost;;Sullivan,中金公司研究部

  自动驾驶领域快速增长,中国FPGA汽车领域市场未来5年CAGR达22.7%。据智研咨询预计,年国内自动驾驶渗透率接近30%,至年达60%左右。相较其他通用芯片方案,FPGA方案在辅助驾驶视频分析功能中可采用超低延时精确算法,对来自车辆摄像机的实时视频输入信号进行分析,且FPGA方案可以在不进行重新设计的前提下实现重新编程。FPGA的独特优势使其不断进入ADAS、汽车信息娱乐系统等新兴汽车电子领域,成功应对了自动驾驶要求的快速演变。据Frost;;Sullivan,中国FPGA汽车领域市场年规模9.5亿元,预计年达26.3亿元,-年CAGR22.7%为各细分领域中最高。

  图表:-年中国FPGA汽车领域市场规模

  

  资料来源:Frost;;Sullivan,中金公司研究部

  全球FPGA人工智能市场年达52亿美元,中国未来有望发展为全球最大的人工智能市场。SemicoResearch预测人工智能领域FPGA市场规模年有望达52亿美元,五年复合增速38.4%。据艾媒咨询,年中国人工智能行业核心产业市场规模超过0亿元,预计在年将超过亿元,中国未来有望发展为全球最大的人工智能市场。FPGA在人工智能领域处理效率及灵活性具有显著优势,且CPU+FPGA+AI融合架构的PSoC芯片不断深入发展,据Frost;;Sullivan,中国FPGA人工智能领域市场年规模5.8亿元,预计年达12.5亿元。

  图表:-年中国FPGA人工智能领域市场规模

  

  资料来源:Frost;;Sullivan,中金公司研究部

  FPGA主要厂商:海外巨头领先地位稳固,中国FPGA品牌自主研发破局

  FPGA芯片市场格局:Xilinx和Altera垄断全球近90%市场,国内市场亦为海外巨头主导。目前,FPGA芯片行业呈现集中度较高的态势,1)全球市场:据Frost;;Sullivan,Xilinx与Altera占据绝对份额,年市占率分别51.7%/33.7%;Lattice与Microsemi位列第三/四,市占率5.0%/4.0%。2)国内市场:据Frost;;Sullivan,以出货量口径统计,年Xilinx、Altera和Lattice分别以5,万颗/3,万颗/3,万颗位列前三,合计占据85.2%的市场份额;以销售额口径统计,年Xilinx、Altera和Lattice分别以71.4亿元/46.7亿元/6.7亿元的销售额位列市场前三位。

  图表:年中国FPGA芯片市场格局(按出货量)

  

  资料来源:Frost;;Sullivan,中金公司研究部

  图表:年中国FPGA芯片市场格局(按销售额)

  

  资料来源:Frost;;Sullivan,中金公司研究部

  Xilinx:从FPGA芯片发明者到自适应计算的全球领导者

  Xilinx是FPGA及自适应计算技术(ACAP)的发明者。年,Xilinx发明出世界上首款FPGA芯片,由此占据市场先发优势,成为FPGA市场绝对龙头。近年来,Xilinx在FPGA器件迭代基础上,不断加强ACAP技术研发,ACAP可在软硬件两个层面随时进行更改,从而动态地适应数据中心、汽车、5G无线、有线以及国防市场的广泛应用与工作负载需求,年Xilinx推出的全球逻辑密度最高的7nm芯片平台Versal是ACAP的首款平台,将标量计算、可编程逻辑及矢量计算结合在一起,是革命性异构计算架构,增强了针对各种机器学习算法的适用性,也提高了计算密度和存储带宽。

  图表:Xilinx发展历程

  

  资料来源:公司公告,中金公司研究部

  图表:Xilinx产品下游布局及营收结构(FY)

  

  资料来源:公司公告,中金公司研究部

  数据中心优先战略下子业务板块迅速发展,汽车成为Xilinx下一个重要市场。年Xilinx提出数据中心优先(Datacenterfirst)战略,同年推出Alveo加速器卡产品系列,实现了数据中心优先战略的先行落地。财年Xilinx数据中心业务营收超3亿美元,同比增长20%,是所有细分业务中增速最高板块。此外,Xilinx于年起出货汽车领域器件,目前已涵盖全球所有主流的一级汽车供应商、原始设备制造商(OEM)及各种创企。Xilinx提供从边缘传感器到领域专用控制器的灵活可扩展产品组合,基于动态功能切换(DFX,DynamicFunctioneXchange)技术,赛灵思的动态可重编程芯片支持众多ADAS和AD功能,可有效降低系统成本和功耗。

  图表:Xilinx数据中心业务营收同比增长20%(FY)

  

  资料来源:公司公告,中金公司研究部

  图表:Xilinx汽车级器件发展路线图

  

  资料来源:公司   Altera:英特尔XPU异构愿景的重要布局

  单一芯片算力受限,收购Altera是英特尔XPU重要布局。云计算和大数据带来数据爆发式增长,CPU性能提升面临瓶颈,专用领域的众核处理器与CPU组成的异构计算平台成为全新解决方案。继CPU+GPU之后,英特尔选择将CPU+FPGA作为异构处理器下一重要布局。

  携手主流OEM厂商,打造面向数据中心的FPGA生态系统。在收购Altera之后,年英特尔推出面向至强CPU和FPGA的加速堆栈,同时联合FPGA加速器硬件开发商、系统集成商及软件应用集成商,合力打造不同垂直领域的加速方案建设。年戴尔和富士通宣布,在其服务器中采用英特尔的基于Arria10GXFPGA的可编程加速卡(PAC),英特尔依托强大的生态系统,与主流OEM厂商共同打造FPGA在数据中心中的主流应用场景。

  图表:英特尔FPGA加速卡发展历程

  

  资料来源:英特尔FPGA中国创新中心,中金公司研究部

  Lattice:专注低功耗、小尺寸、易用性FPGA解决方案

  提供市场领先的低功率、小型号FPGA产品,在双寡头市场中差异化竞争。在Xilinx与Altera双寡头格局下,Lattice选择中端切入策略,从而与已经在高端及低端市场构筑高壁垒的两巨头进行差异化竞争。低功耗一直是LatticeFPGA的产品标签,在到达28nm工艺节点之后,Lattice更加注重性能和功耗的平衡。年7月Lattice最新推出的CertusPro?-NX通用FPGA系列产品,仍然采取28nm工艺,但功耗效率得到大幅提高,且实现在最小封装尺寸中提供最高带宽,是同类产品中唯一支持LPDDR4外部存储器的FPGA。

  图表:Lattice专注于中小尺寸FPGA

  

  资料来源:IDC,公司公告,中金公司研究部

  图表:LatticeCertus-NX功耗比同类竞品FPGA低四倍

  

  资料来源:公司公告,中金公司研究部

  未来继续定位于边缘计算、智慧互联等中小规模FPGA应用。Lattice表示,未来将继续定位在边缘计算、汽车或者工业等中小规模FPGA上的应用,专注于低功耗,主要针对智能、互联和安全方面优化的终端设备,为包括智慧城市、智慧家庭、智能汽车和智慧工厂等网络边缘的终端设备提供智能解决方案,与在云端根深于深度学习应用的Altera和Xilinx继续保持差异化定位。

  图表:Lattice营收结构、下游应用市场及未来增长驱动力(年)

  

  资料来源:公司公告,中金公司研究部

  本土企业主攻中低密度市场,硬件性能指标与巨头差距较大

  目前国产FPGA产品以中低密度产品为主。上世纪90年代开始,国产FPGA经历了从反向设计走向开始正向设计的时式,年国产FPGA正式迈入完备正向设计阶段。目前活跃在市场的国产FPGA产品以中低密度产品为主,架构大多采取LUT+布线概念,中高密度FPGA的技术水平与国际领先商相比,在硬件设计和软件方面还有较大的差距。

  紫光同创:国内唯一具备自主产权千万门级高性能FPGA研发能力的企业。公司成立于年,是紫光国微(.SZ)参股公司,员工总人数超过人,拥有专利超过项、核心专利占比超过80%。年发布TitanPGT30G,是中国首款自主知识产权万门级FPGA;同时推出自主知识产权的大规模FPGA开发软件PangoDesignSuite,可支持千万门级器件设计开发。

  复旦微电子:推出自主知识产权亿门级FPGA产品。公司成立于年,FPGA类芯片聚焦在SRAM型FPGA,拥有千万门级FPGA芯片(65nm制程,50K容量)、亿门级FPGA芯片(28nm制程,K容量)以及嵌入式可编程器件PsoC(国内首款推向市场的嵌入式可编程PSoC产品,28nm制程)三大产品线,14/16nm工艺制程的10亿门级FPGA产品在研。

  高云半导体:中低密度产品密集推出,产品优势高速积累。公司成立于年,1Q15即量产国内首颗产业化55nm工艺万门的中密度FPGA芯片,1Q16顺利推出国内首颗55nm嵌入式FlashSRAM的非易失性FPGA芯片;截至年3月底,高云半导体出货量累计出货0万片,成功进入工业、车载、通信、家电、消费及IoT等领域,是国内首家提供车规芯片的FPGA厂家。目前形成晨熙(中密度FPGA代表)、小蜜蜂(以非易失性为特色)两大系列产品,拥有自主知识产权FPGA开发套件云源软件。




转载请注明:http://www.180woai.com/qfhqj/2772.html


冀ICP备2021022604号-10

当前时间: